学科分类
/ 1
17 个结果
  • 简介:摘要信号处理在电力系统工作中应用广泛,其中快速傅里叶变换(FFT)在信号处理中是一种采用较多的方式。现提出一种基于FPGA的快速傅里叶变化算法的实现方式,同时给出基于Modelsim的仿真结果与Matlab的计算结果进行对比。

  • 标签: FFT FPGA 信号处理
  • 简介:摘要为了研究编码增益,设计了一种卷积码与RS码级联编码,并搭建了该级联编码的仿真模型,仿真分析了级联编码模块对于所设计的系统性能的影响。仿真结果表明,与未采用任何编码的系统相比较,所设计的级联编码的系统能提高3db增益。而在RS码与卷积码之间加入交织器后,选取合适的交织器参数可以使系统的性能得到进一步的提升。

  • 标签: 串行级联编码 卷积码 RS码 交织码
  • 简介:摘要本文介绍了应用FPGA芯片和硬件描述语言(VHDL)设计微波炉控制器系统的方法。系统使用VHDL编程实现各底层模块的功能,顶层的设计采用图形输入完成。

  • 标签: FPGA 微波炉 定时系统 设计
  • 简介:摘要随着FPGA技术的高速发展,FPGA产品的规模越来越大,集成度也越来越高,价格不断降低,FPGA技术必将在电子设计中得到越来越广泛的应用。而FPGA技术应用于电子设计中,不仅可以用有效的提升电子产品的性能,还可以降低产品开发的时间。基于此,本文就围绕FPGA技术在电子设计中的应用展开详细的分析论述。

  • 标签: FPGA技术 电子设计 应用
  • 简介:摘要随着社会地不断发展,电力通信需求也在不断增加,很多新的电力通信技术也被应用到实际中去,在电力通信系统的运行过程中,信号处理影响着系统的运行质量与能力,因此在对于通信信号进行处理的过程中,很多技术设计都在进行研发创新当中,在众多的信号处理技术当中,基于FPGA的通信信号处理技术受到研究人员的青睐,原因在于,运用FPGA硬件平台进行信号的处理可以有效保障信号的质量,并且可以保持系统运行的稳定。文章主要对于FPGA硬件平台上的通信信号处理技术以及应用进行深入地研究。

  • 标签: FPGA 通信信号处理 技术设计 实际应用
  • 简介:摘要1553B最早作为一种通用的军事标准协议1,它对数据总线的电气和协议特性进行了严格的规范和约束,广泛运用于航电综合系统。因其优秀的总线特性,在汽车领域和船机领域也有相关应用。

  • 标签: 1553B总线协议 1553B电路 1553B的编解串
  • 简介:摘要当前普遍应用到的一种太阳能发电系统控制算法即为最大功率点跟踪(MPPT),通常情况下,系统的实现是通过微控制单元这一渠道完成。这种情况下,本文采取现场可编程门阵列(FPGA),使得获得到的太阳能最大功率点跟踪电路具有更好的经济性,另外对于构成系统硬件的情况进行分析,探究各功能部分接口的情况等内容。通过实施Verilog语言,达到获得ADC控制器以及最大功率点跟踪算法、PWM波波形发生器等目标,之后展开严谨的仿真设计电路,所应用的方式即为Modelsim,最后展开下载,也就是基CycloneII系列EP2C8Q208C8芯片基础上完成这一过程。通过展开完整严密的实验,结果显示能够使得电路在一种健康平稳的状态中运行,此设计具有一定的科学性以及合理性,能够提供给有效的控制太阳能发电系统系统级芯片重要的依据。

  • 标签: 现场可编程门阵列 最大功率点跟踪 太阳能发电
  • 简介:摘要随着我国经济发展水平的不断提高,可编程逻辑器件不断更新并且发展速度增快,其中,最具代表性的就是FPGA,凭借自身诸多优势在各领域中应用日趋广泛,并逐渐将传统普通集成电路取代,成为集成电路新的发展趋势。在石油勘探仪器中使用FPGA,可以凭借FPGA的高集成度、高速度、良好的稳定性,提高勘探精度及速度。本文将具体探究FPGA使用原理,分析其在石油勘探仪器中的应用,以体现该技术优势。

  • 标签: FPGA原理 石油勘探 技术应用
  • 简介:摘要近年来,随着国内航空事业的高速发展,现代空情变得日益复杂,航管雷达目标数据和地空话音通信数据作为航空空情数据保存以及事故分析的主要手段,在空管自动化系统中发挥越来越重要的作用。其记录系统运行的稳定性、数据记录和回放的真实性是重要空情重演、异常事故分析准确可靠的关键因素。在航管系统应用中,记录重演系统往往具有数据量大、记录通道多,数据的可靠性、实时性以及设备模块化要求高,并且要求长时间持续不断地进行记录和处理。为此,文章对基于FPGA的多通道数据采集系统设计与实现方面展开详细研究,希望能够给相关人士提供重要的参考价值。

  • 标签: 数据采集 信号处理 FPGA
  • 简介:摘要随着现代科技的高速发展,对于脉冲信号测量精度和速度的相关要求也越来越高,例如在电子侦察中,通过对雷达脉冲信号时域参数的高精度测量,可获取脉冲的相应细节,从而完成对目标的识别和定位。因此,在实际应用中,准确测量各种脉冲信号参数就显得尤为重要。但目前往往采用传统示波器对脉冲信号进行测试,这样很难达到对脉冲信号参数的全面、准确测量。针对上述情况,本文设计了一种高速脉冲信号的高精度测试仪,仪器以FPGA为核心,通过与单片机之间的实时通信,可高速、高精度测量脉冲信号的频率、占空比、幅度、上升时间等。

  • 标签: FPGA 脉冲信号 参数高精度 测量技术
  • 简介:摘要介绍了一种基于FPGA的采用等精度测量方法的频率测量电路设计。阐述了等精度频率测量的原理与方法,介绍了射频信号调理方法、分频电路设计、高稳晶振的选择与射频信号放大电路设计。经实际应用证明,该频率测量电路在0.5MHz到1.2GHz频率范围内,频率测量精度可达到5×10-8,符合实际应用的要求。

  • 标签: FPGA 等精度测量 高稳晶振 信号放大
  • 简介:摘要基于FPGA,对高速光纤通信数据传输技术进行研究,了解其层次结构、工作原理及其应用效果。为了更好的满足高速数据传输的需求,进行科学、合理的设计,提高测控通信系统数据传输高速性和准确性。本文通过对高速光纤通信数据传输技术的研究,探讨基于FPGA高速光纤通信系统的设计方法,对于高速光纤通信数据传输的实现具有重要意义和价值。

  • 标签: FPGA 高速光纤通信 数据传输技术
  • 简介:摘要目前电压相位检测大多通过锁相环来实现,其在电力电子行业的应用十分广泛。本文分析了三相锁相环原理,并利用Matlab/Simulink建立三相锁相环的仿真模型,仿真验证其锁相特性。最后,基于以FPGA为核心的硬件设备,通过VerilogHDL语言编程实现三相锁相环。搭建逆变器硬件拓扑结构,以锁相环得到的信号作为调制波来得到同相位的输出电压,检测锁相输出效果。仿真及实验结果表明,本文所研究的三相锁相环能准确跟踪参考信号相位,达到理想的锁相效果。

  • 标签: 锁相环 自动鉴相 Matlab/Simulink FPGA
  • 简介:摘要本文主要对基于FPGA和DSP的雷达信号处理的设计方法进行了分析,对新型的工作原理图进行了明确,然后对硬件电路设计以及软件电路设计进行了探究,在此基础上对这种信号处理系统的设计优点进行了总结,希望能为基于FPGA和DSP雷达信号的处理以及传输工作提供良好的参考依据。

  • 标签: FPGA DSP 雷达信号处理系统 设计
  • 简介:摘要直接数字频率合成(DDS)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的第三代频率合成技术。与第二代基于锁相环频率合成技术相比,DDS具有频率切换时间短、频率分辨率高、相位可连续变化和输出波形灵活等优点。因此,广泛应用于教学科研、通信、雷达、自动控制和电子测量等领域。但DDS专用器件价格较贵,输出波形单一,使用受到一定限制,特别不适合输出波形多样化的应用场合。本文详细介绍在单一FPGA上开发出性能优良的具有任意波形的DDS系统,运用当前应用最广泛的VerilogHDL硬件语言作为编程语言。

  • 标签: DDS FPGA 任意波形发生器 Verilog HDL硬件语言
  • 简介:摘要现代有轨电车作为一种新型轨道交通形式为当前的城市交通建设提供了一种优秀的解决方案,但其地面运行模式占用了紧张有限的路口资源,成为制约其发展的重要因素。本文首先分析了当前交通控制的三种模式,重点针对模糊控制理论进行了分析,结合综合投影方法给出的多相位控制交叉口交通状态界定,给出了一种有轨电车路口优先控制方式的判断因子,优化了插入有轨电车相位后的路口控制方式,从而有效降低了有轨电车对路口社会车辆的通行影响,然后提出了一种基于FPGA的控制平台,利用其运算能力实现这种控制方式,并利用其可编程特性为后续的功能扩展和软件升级提供便利。

  • 标签: 有轨电车 路口优先 FPGA
  • 简介:摘要针对当前工业数控系统高功率密度的发展需求,提出了一种基于DSP+FPGA体系结构的新型多轴交流伺服驱动控制系统设计方案。首先详细介绍了系统硬件驱动与控制电路的设计,包括控制电路、信号检测及保护电路、功率驱动电路、通信电路等,其次分析了软件实现及信号采样时刻点选择方法。该系统采用空间电压矢量控制策略,运用集中控制及分层处理的设计思想,实现具有位置、速度、电流控制的数字化高性能伺服控制系统。实验结果表明,该系统具有实时性好、精度高、动态响应快等优点。

  • 标签: 永磁同步电机 矢量控制 高功率密度